Tue Mar 10 2020 11:14:18 GMT+0300 (E. Africa Standard Time)

This commit is contained in:
tsDesktop 2020-03-10 11:14:19 +03:00
parent 5262f6a881
commit 76d75e9eb5
3 changed files with 35 additions and 32 deletions

View File

@ -1 +1 @@
2 Timoty toko 1 Toko 1

1
front/title.txt Normal file
View File

@ -0,0 +1 @@
2 Timoty

View File

@ -1,17 +1,10 @@
{ {
"project": { "package_version": 7,
"id": "2ti", "format": "usfm",
"name": ""
},
"type": {
"id": "text",
"name": "Text"
},
"generator": { "generator": {
"name": "ts-desktop", "name": "ts-desktop",
"build": "3" "build": "3"
}, },
"package_version": 7,
"target_language": { "target_language": {
"name": "Antambahoaka", "name": "Antambahoaka",
"direction": "ltr", "direction": "ltr",
@ -20,33 +13,40 @@
"is_gateway_language": false, "is_gateway_language": false,
"id": "-x-antambahoaka" "id": "-x-antambahoaka"
}, },
"format": "usfm", "project": {
"id": "2ti",
"name": "2 Timothy"
},
"type": {
"id": "text",
"name": "Text"
},
"resource": { "resource": {
"id": "reg" "id": "reg"
}, },
"translators": [
"PLT-MADA007",
"PLT-MAD006"
],
"source_translations": [ "source_translations": [
{ {
"language_id": "plt", "language_id": "plt",
"resource_id": "ulb", "resource_id": "ulb",
"checking_level": "3", "checking_level": "3",
"date_modified": 20181101, "date_modified": "2018-11-01T00:00:00+00:00",
"version": "7.1" "version": "7.1"
} }
], ],
"translators": [
"PLT-MADA007",
"PLT-MAD006",
"Dell"
],
"finished_chunks": [ "finished_chunks": [
"04-title", "front-title",
"04-01", "01-title",
"04-03", "01-01",
"04-06", "01-03",
"04-09", "01-06",
"04-11", "01-08",
"04-14", "01-12",
"04-17", "01-15",
"04-19",
"02-title", "02-title",
"02-01", "02-01",
"02-03", "02-03",
@ -65,12 +65,14 @@
"03-10", "03-10",
"03-14", "03-14",
"03-16", "03-16",
"01-title", "04-title",
"01-03", "04-01",
"01-08", "04-03",
"01-01", "04-06",
"01-06", "04-09",
"01-12", "04-11",
"01-15" "04-14",
"04-17",
"04-19"
] ]
} }