From acd611a2942e7b18c3fc56d126c2a1d45ceef06a Mon Sep 17 00:00:00 2001 From: tsDesktop Date: Sat, 6 Jun 2020 15:25:24 +0300 Subject: [PATCH] Sat Jun 06 2020 15:25:23 GMT+0300 (E. Africa Standard Time) --- manifest.json | 55 ++++++++++++++++++++++++++++++++++++++++++++++++++- 1 file changed, 54 insertions(+), 1 deletion(-) diff --git a/manifest.json b/manifest.json index af1ca2e..ed158b4 100644 --- a/manifest.json +++ b/manifest.json @@ -1 +1,54 @@ -{"project":{"id":"act","name":""},"type":{"id":"text","name":"Text"},"generator":{"name":"ts-android","build":181},"package_version":7,"target_language":{"name":"Sihanaka","direction":"ltr","anglicized_name":"","region":"Africa","is_gateway_language":false,"id":"plt-x-sihanaka"},"format":"usfm","resource":{"id":"reg"},"translators":["MAD012"],"source_translations":[{"language_id":"plt","resource_id":"ulb","checking_level":"3","date_modified":20181101,"version":"7.1"}],"finished_chunks":["14-title","14-27","04-title","04-36","09-title","19-title","24-title","01-title","06-title","11-title","16-title","21-title","26-title"]} \ No newline at end of file +{ + "project": { + "id": "act", + "name": "" + }, + "type": { + "id": "text", + "name": "Text" + }, + "generator": { + "name": "ts-desktop", + "build": "3" + }, + "package_version": 7, + "target_language": { + "name": "Sihanaka", + "direction": "ltr", + "anglicized_name": "", + "region": "Africa", + "is_gateway_language": false, + "id": "plt-x-sihanaka" + }, + "format": "usfm", + "resource": { + "id": "reg" + }, + "translators": [ + "MAD012" + ], + "source_translations": [ + { + "language_id": "plt", + "resource_id": "ulb", + "checking_level": "3", + "date_modified": 20181101, + "version": "7.1" + } + ], + "finished_chunks": [ + "14-title", + "14-27", + "04-title", + "04-36", + "09-title", + "19-title", + "24-title", + "01-title", + "06-title", + "11-title", + "16-title", + "21-title", + "26-title" + ] +} \ No newline at end of file