Mon Jun 12 2017 17:09:47 GMT+0100 (W. Central Africa Standard Time)

This commit is contained in:
tsDesktop 2017-06-12 17:09:47 +01:00
parent 2f108319df
commit f44e096829
4 changed files with 22 additions and 15 deletions

1
01/title.txt Normal file
View File

@ -0,0 +1 @@
Sura 1

1
02/title.txt Normal file
View File

@ -0,0 +1 @@
Sura 2

1
03/title.txt Normal file
View File

@ -0,0 +1 @@
Sura 3

View File

@ -1,47 +1,49 @@
{ {
"project": { "package_version": 7,
"id": "1ti", "format": "usfm",
"name": ""
},
"type": {
"id": "text",
"name": "Text"
},
"generator": { "generator": {
"name": "ts-desktop", "name": "ts-desktop",
"build": "110" "build": "110"
}, },
"package_version": 7,
"target_language": { "target_language": {
"direction": "ltr", "direction": "ltr",
"id": "ngi", "id": "ngi",
"name": "Ngizim" "name": "Ngizim"
}, },
"format": "usfm", "project": {
"id": "1ti",
"name": "1 Timothy"
},
"type": {
"id": "text",
"name": "Text"
},
"resource": { "resource": {
"id": "reg" "id": "reg"
}, },
"translators": [
"Ngizim3"
],
"source_translations": [ "source_translations": [
{ {
"language_id": "en", "language_id": "en",
"resource_id": "ulb", "resource_id": "ulb",
"checking_level": 3, "checking_level": "3",
"date_modified": 20161227, "date_modified": 20161227,
"version": "8" "version": "8"
}, },
{ {
"language_id": "en", "language_id": "en",
"resource_id": "udb", "resource_id": "udb",
"checking_level": 3, "checking_level": "3",
"date_modified": 20161207, "date_modified": 20161207,
"version": "8" "version": "8"
} }
], ],
"translators": [
"Ngizim3",
"Stephen Sam Patayaure"
],
"finished_chunks": [ "finished_chunks": [
"front-title", "front-title",
"01-title",
"01-01", "01-01",
"01-03", "01-03",
"01-05", "01-05",
@ -49,11 +51,13 @@
"01-12", "01-12",
"01-15", "01-15",
"01-18", "01-18",
"02-title",
"02-01", "02-01",
"02-05", "02-05",
"02-08", "02-08",
"02-11", "02-11",
"02-13", "02-13",
"03-title",
"03-01", "03-01",
"03-04", "03-04",
"03-06", "03-06",